GitRelate(d)
Related Repositories for SymbiFlow/symbiflow-arch-defs
Repository
⭐ Stars
🍴 Forks
Ratio
SymbiFlow/symbiflow-arch-defs
177
17
10.41
SymbiFlow/prjxray
81
13
6.23
YosysHQ/yosys
77
13
5.92
YosysHQ/nextpnr
75
7
10.71
enjoy-digital/litex
72
16
4.50
google/skywater-pdk
61
4
15.25
cliffordwolf/picorv32
61
9
6.78
olofk/fusesoc
58
4
14.50
verilog-to-routing/vtr-verilog-to-routing
57
12
4.75
tensorflow/tensorflow
55
10
5.50
m-labs/migen
55
10
5.50
SpinalHDL/VexRiscv
54
6
9.00
verilator/verilator
54
11
4.91
sindresorhus/awesome
52
5
10.40
BrunoLevy/learn-fpga
51
2
25.50
FPGAwars/icestudio
50
2
25.00
open-sdr/openwifi
50
1
50.00
steveicarus/iverilog
50
7
7.14
torvalds/linux
50
14
3.57
micropython/micropython
48
4
12.00
olofk/serv
47
3
15.67
NationalSecurityAgency/ghidra
47
3
15.67
trabucayre/openFPGALoader
47
3
15.67
olofk/edalize
46
5
9.20
m-labs/nmigen
44
5
8.80
ghdl/ghdl
44
5
8.80
ocornut/imgui
44
1
44.00
ZipCPU/zipcpu
43
2
21.50
WerWolv/ImHex
43
1
43.00
jbush001/NyuziProcessor
42
4
10.50
zephyrproject-rtos/zephyr
42
7
6.00
cliffordwolf/icestorm
42
9
4.67
lowRISC/opentitan
42
4
10.50
google/xls
41
3
13.67
chrislgarry/Apollo-11
41
3
13.67
sylefeb/Silice
40
1
40.00
alexforencich/verilog-ethernet
40
4
10.00
junegunn/fzf
39
0
commaai/openpilot
38
2
19.00
stnolting/neorv32
38
1
38.00
lowRISC/ibex
38
2
19.00
3b1b/manim
38
0
FPGAwars/apio
38
2
19.00
clash-lang/clash-compiler
38
3
12.67
tesseract-ocr/tesseract
38
1
38.00
darklife/darkriscv
38
2
19.00
papers-we-love/papers-we-love
37
0
B-Lang-org/bsc
37
4
9.25
SpinalHDL/SpinalHDL
37
6
6.17
berkeley-abc/abc
37
3
12.33
rust-lang/rust
37
8
4.62
VLSIDA/OpenRAM
37
2
18.50
0xAX/linux-insides
36
0
Z3Prover/z3
36
2
18.00
The-OpenROAD-Project/OpenROAD
36
4
9.00
greatscottgadgets/luna
36
4
9.00
drom/awesome-hdl
35
1
35.00
neovim/neovim
35
3
11.67
rsd-devel/rsd
35
2
17.50
pulp-platform/ariane
35
2
17.50
vinta/awesome-python
35
2
17.50
jopohl/urh
35
2
17.50
pytorch/pytorch
35
1
35.00
nturley/netlistsvg
35
4
8.75
google/flatbuffers
35
3
11.67
SymbiFlow/symbiflow-examples
35
8
4.38
rui314/mold
34
2
17.00
donnemartin/system-design-primer
34
1
34.00
enjoy-digital/litedram
34
4
8.50
SymbiFlow/prjtrellis
34
7
4.86
mortbopet/Ripes
34
0
nmigen/nmigen
34
4
8.50
cocotb/cocotb
34
5
6.80
fffaraz/awesome-cpp
34
1
34.00
koalaman/shellcheck
33
0
zachjs/sv2v
33
1
33.00
google/verible
33
4
8.25
isocpp/CppCoreGuidelines
33
2
16.50
aquynh/capstone
33
3
11.00
ansible/ansible
33
6
5.50
jgm/pandoc
33
2
16.50
JuliaLang/julia
33
2
16.50
espressif/esp-idf
33
1
33.00
SerenityOS/serenity
33
1
33.00
godotengine/godot
33
1
33.00
sifive/freedom
33
3
11.00
danistefanovic/build-your-own-x
33
4
8.25
hdl-util/hdmi
33
0
discourse/discourse
32
2
16.00
google/jax
32
0
TheAlgorithms/Python
32
3
10.67
FreeCAD/FreeCAD
32
3
10.67
gorhill/uBlock
32
0
flutter/flutter
32
1
32.00
llvm/circt
31
8
3.88
BurntSushi/ripgrep
31
0
hneemann/Digital
31
1
31.00
sveltejs/svelte
31
1
31.00
nlohmann/json
31
3
10.33
CorentinJ/Real-Time-Voice-Cloning
31
2
15.50
Show More